Top Image
Japanese Atsushi Takahashi
Top Image
home papers profile link
 
  Papers List  
  2015  
  2014  
  2013  
  2012  
  2011  
  2010  
  2009  
  2008  
  2007  
  2006  
  2005  
  2004  
  2003  
  2002  
  2001  
  2000  
  1999  
  1998  
  1997  
  1989-1996  







 

Paper List 2009 (Atsushi Takahashi)


Last modified: 16 December 2009

Paper

  • Yoichi Tomioka, Yoshiaki Kurata, Yukihide Kohira, Atsushi Takahashi.
    MILP-based Efficient Routing Method with Restricted Route Structure for 2-Layer Ball Grid Array Packages.
    IEICE Trans. Fundamentals, Vol.E92-A, No.12, pp.2998-3006, December 2009.
    ( IEICE Transactions Online )
  • Yukihide Kohira, Suguru Suehiro, Atsushi Takahashi.
    A Fast Longer Path Algorithm for Routing Grid with Obstacles using Biconnectivity based Length Upper Bound.
    IEICE Trans. Fundamentals, Vol.E92-A, No.12, pp.2971-2978, December 2009.
    ( IEICE Transactions Online )
  • Yoichi Tomioka, Atsushi Takahashi.
    Routability Driven Via Assignment Method for 2-Layer Ball Grid Array Packages.
    IEICE Trans. Fundamentals, Vol.E92-A, No.6, pp.1433-1441, June 2009.
    ( IEICE Transactions Online )
  • Yukihide Kohira, Shuhei Tani, Atsushi Takahashi.
    Minimization of Delay Insertion in Clock Period Improvement in General-Synchronous Framework.
    IEICE Trans. Fundamentals, Vol.E92-A, No.4, pp.1106-1114, April 2009.
    ( IEICE Transactions Online )

International Conference

  • Yoshiaki Kurata, Yoichi Tomioka, Yukihide Kohira, Atsushi Takahashi.
    A Routing Method based on Nearest Via Assignment for 2-Layer Ball Grid Array Packages.
    Proc. the 15th Workshop on Synthesis And System Integration of Mixed Information technologies (SASIMI 2009), pp.307-312, Okinawa, March 10, 2009.
    ( pdf 365KB )
  • Shun Gokita, Yukihide Kohira, Atsushi Takahashi.
    A Fast Approximation Method of Maximum Operation in Statistical Static Timing Analysis for Achieving Specified Yield.
    Proc. the 15th Workshop on Synthesis And System Integration of Mixed Information technologies (SASIMI 2009), pp.364-369, Okinawa, March 10, 2009.
    ( pdf 532KB )
  • Yukihide Kohira, Suguru Suehiro, Atsushi Takahashi.
    A Fast Longer Path Algorithm for Routing Grid with Obstacles using Biconnectivity based Length Upper Bound.
    Proc. Asia and South Pacific Design Automation Conference 2009 (ASP-DAC 2009), pp.600-605, Yokohama, January 21, 2009.
    ( IEEE Xplore )

Domestic Conference (including Japanese)

  • 小平行秀,高橋篤司.
    障害物を含む1層配線領域のための領域分割によるリバー配線手法.
    電子情報通信学会 ソサイエティ大会 講演論文集 (A-3-9), Vol.A, p.58, 2009年9月17日.
    ( T2R2 )
  • Yoichi Tomioka, Atsushi Takahashi.
    Top Layer Plating Lead Maximization for BGA Packages.
    電子情報通信学会 ソサイエティ大会 講演論文集 (A-3-10), Vol.A, p.59, 2009年9月17日.
    ( T2R2 )
  • 高橋伸嘉,富岡洋一,小平行秀,高橋篤司.
    入力ベクトルの適切な選択によるピーク電力高速見積り手法.
    DAシンポジウム2009論文集, 情報処理学会シンポジウムシリーズ, Vol.2009, No.7, pp.13-18, 2009年8月26日.

Technical Report (including Japanese)

  • 右近祐太,高橋篤司,谷口研二.
    [ポスター講演]加算器におけるクロック周期に応じた遅延エラー率の評価.
    電子情報通信学会技術研究報告 (ICD2009-91), Vol.109, No.336, pp.77-81, 2009年12月14日.
  • 木下昌紀,富岡洋一,高橋篤司.
    2層BGAパッケージにおける配線混雑度低減のための詳細ビア配置手法.
    電子情報通信学会技術研究報告 (VLD2009-30), Vol.109, No.201, pp.7-12, 2009年9月24日.
  • 小平行秀,高橋篤司.
    1層複線配線問題における幹配線を生成するための壁生成法.
    電子情報通信学会技術研究報告 (VLD2009-31), Vol.109, No.201, pp.13-18, 2009年9月24日.
  • 篠田享佑,小平行秀,高橋篤司.
    プリント基板のための45度線による混雑度緩和を利用した配線手法.
    電子情報通信学会技術研究報告 (VLD2009-23,CAS2009-18,SIP2009-35), Vol.109, No.111, pp.97-102, 2009年7月2日.
  • 井上雅文,富岡洋一,小平行秀,高橋篤司.
    パス長制限付き点集合に対する配線木構成手法.
    電子情報通信学会技術研究報告 (VLD2009-4), Vol.109, No.34, pp.31-36, 2009年5月21日.
  • 橋本浩良,小平行秀,高橋篤司.
    EDAツールを用いた低コスト一般同期クロックツリー合成手法.
    電子情報通信学会技術研究報告 (VLD2008-134), Vol.108, No.487, pp.47-52, 2009年3月11日.
  • 谷修平,小平行秀,高橋篤司.
    クロック周期短縮のための挿入遅延量を抑えた回路への遅延挿入法.
    電子情報通信学会技術研究報告 (VLD2008-135), Vol.108, No.487, pp.53-58, 2009年3月11日.
  • 末廣傑,小平行秀,高橋篤司.
    障害物を含む配線領域における並走配線最長化手法.
    電子情報通信学会技術研究報告 (VLD2008-137), Vol.108, No487, pp.59-64, 2009年3月11日.
  • 河野祐貴,高島康裕,高橋篤司.
    最小総変位配置実現問題における高速最適化手法.
    電子情報通信学会技術研究報告 (VLD2008-138), Vol.108, No.487, pp.65-70, 2009年3月11日.

Invited Talk

  • Atsushi Takahashi.
    New Design Methodologies for Synchronous Circuits.
    In Special Papers of IEEJ the 2009 International Analog VLSI Workshop, pp.I2-1-I2-4, Chiangmai, Thailand, November 19, 2009.
  • Atsushi Takahashi.
    Recent Advances in Routing Control Technology.
    In Proc. Japan-Taiwan Semiconductor Electronic Design Automation (EDA) Science and Technology Symposium, pp.143-150 (slides), Kita-Kyushu, September 4, 2009.

Poster Presentation (including Japanese, including Student Activity)

  • Shuhei Tani.
    A Study of Deley Insertion in Clock Period Improvement in General-Synchronous Framework.
    Poster Presentation, IEICE VLD Student Forum at ASP-DAC 2009, January 21, 2009.

To Top of Page