Top Image
Japanese Atsushi Takahashi
Top Image
home papers profile link
 
  Papers List  
  2017  
  2016  
  2015  
  2014  
  2013  
  2012  
  2011  
  2010  
  2009  
  2008  
  2007  
  2006  
  2005  
  2004  
  2003  
  2002  
  2001  
  2000  
  1999  
  1998  
  1997  
  1989-1996  





 

Paper List 2014 (Atsushi Takahashi)


Last modified: 17 December 2014

Paper

  • Yukihide Kohira, Atsushi Takahashi.
    2-SAT based Linear Time Optimum Two-Domain Clock Skew Scheduling in General-Synchronous Framework.
    IEICE Trans. Fundamentals, Vol.E97-A, No.12, pp.2459-2466, 2014.
    ( IEICE Transactions Online )
  • Yiqiang Sheng, Atsushi Takahashi.
    A Novel High-Performance Heuristic Algorithm with Application to Physical Design Optimization.
    IEICE Trans. Fundamentals, Vol.E97-A, No.12, pp.2418-2426, 2014.
    ( IEICE Transactions Online )

International Conference

  • Tomomi Matsui, Yukihide Kohira, Chikaaki Kodama, Atsushi Takahashi.
    Positive Semidefinite Relaxation and Approximation Algorithm for Triple Patterning Lithography.
    Proc. the 25th International Symposium on Algorithms and Computation (ISAAC 2014), Jeonju, Korea, December 16, 2014. (Algorithms and computation, Lecture Notes in Computer Science, LNCS 8889, pp.365-375, Nov. 2014.)
    (Springer Link)
  • Atsushi Takahashi, Ahmed Awad, Yukihide Kohira, Tomomi Matsui, Chikaaki Kodama, Shigeki Nojima, Satoshi Tanaka.
    [Invited] Multi Patterning Techniques for Manufacturability Enhancement in Optical Lithography.
    Proc. the 2014 International Conference on Integrated Circuits, Design, and Verification (ICDV 2014), pp.117-122, Hanoi, November 14-15, 2014.
  • Ahmed Awad, Atsushi Takahashi, Satoshi Tanaka, Chikaaki Kodama.
    A Fast Process Variation and Pattern Fidelity Aware Mask Optimization Algorithm.
    Proc. IEEE/ACM 2014 International Conference on Computer-Aided Design (ICCAD 2014), pp.238-245, San Jose, November 3, 2014.
    (IEEE Xplore, ACM Digital Library)
  • Yukihide Kohira, Yoko Yokoyama, Chikaaki Kodama, Atsushi Takahashi, Shigeki Nojima, Satoshi Tanaka.
    Yield-aware decomposition for LELE double patterning.
    Proc. SPIE 9053, Design-Process-Technology Co-optimization for Manufacturability VIII, 90530T, pp.1-10, March 28, 2014. (presented at San Jose, February 27, 2014)
    (SPIE Digital Library)
  • Yoko Yokoyama, Keishi Sakanushi, Yukihide Kohira, Atsushi Takahashi, Chikaaki Kodama, Satoshi Tanaka, Shigeki Nojima.
    Localization concept of re-decomposition area to fix hotspots for LELE process.
    Proc. SPIE 9053, Design-Process-Technology Co-optimization for Manufacturability VIII, 90530V, pp.1-8, March 28, 2014. (presented at San Jose, February 27, 2014)
    (SPIE Digital Library)
  • Yukihide Kohira, Atsushi Takahashi.
    2-SAT based Linear Time Optimum Two-Domain Clock Skew Scheduling.
    Proc. Asia and South Pacific Design Automation Conference 2014 (ASP-DAC 2014), pp.173-178, Singapore, January 21, 2014.
    (IEEE Xplore)

Domestic Conference (including Japanese)

  • Ahmed Awad, Atsushi Takahashi, Satoshi Tanaka, Chikaaki Kodama.
    A Process Variability Band Area Reduction Algorithm For Optical Lithography.
    電子情報通信学会 ソサイエティ大会 講演論文集 (A-3-6), Vol.A, p.50, 2014年9月23日.
    ( T2R2 )
  • 田中雄一郎,高橋篤司.
    領域分割を用いたCHORD-LAST法に基づくナンバーリンク解法.
    DAシンポジウム2014論文集, 情報処理学会シンポジウムシリーズ, Vol.2014, pp.221-226, 2014年8月29日.
    (電子図書館)
  • Ahmed Awad, Atsushi Takahashi, Satoshi Tanaka, Chikaaki Kodama.
    Mask Optimization With Minimal Number of Convolutions Using Intensity Difference Map.
    DAシンポジウム2014論文集, 情報処理学会シンポジウムシリーズ, Vol.2014, pp.145-150, 2014年8月29日.
    (電子図書館)
  • Ahmed Awad, Atsushi Takahashi, Satoshi Tanaka, Chikaaki Kodama.
    A New Intensity Based Edge Placement Error Optimization Algorithm for Optical Lithography.
    Proc. the 27th Workshop on Circuits and Systems, pp.422-427, August 5, 2014.
    ( T2R2 )
  • 小平行秀,横山陽子,児玉親亮,高橋篤司,野嶋茂樹,田中聡.
    LELEダブルパターニングのための歩留まりを考慮した高速マスク割り当て手法.
    次世代リソグラフィワークショップNGL2014予稿集, pp.41-42, 2014年7月17-18日.

Technical Report (including Japanese)

  • 中谷勇太,高橋篤司.
    集合対間配線における総配線長および配線長差の削減手法.
    電子情報通信学会技術研究報告 (VLD2014-87), Vol.114, No.328, pp.111-116, 2014年11月26日.
    (情報処理学会研究報告, Vol.2014-SLDM-168, No.16, pp.1-6, 2014.) (電子図書館)
  • 小平行秀,松井知己,横山陽子,児玉親亮,高橋篤司,野嶋茂樹,田中聡.
    半正定値緩和法を用いたLELECUTトリプルパターニングのためのレイアウト分割手法.
    電子情報通信学会技術研究報告 (VLD2014-6), Vol.114, No.59, pp.27-32, 2014年5月29日.
    (情報処理学会研究報告, Vol.2014-SLDM-166, No.6, pp.1-6, 2014.) (電子図書館)
  • 山本祐作,高橋篤司.
    集合対間配線に対する配線長差削減アルゴリズムの改良.
    電子情報通信学会技術研究報告 (VLD2013-142), Vol.113, No.454, pp.49-54, 2014年3月4日.
  • 宮辺祐太郎,高橋篤司,松井知己,小平行秀,横山陽子.
    ダブルパターニングにおけるリソグラフィECOのためのパターン局所修正法.
    電子情報通信学会技術研究報告 (VLD2013-149), Vol.113, No.454, pp.87-92, 2014年3月4日.
  • 井原岳志,高橋篤司,児玉親亮.
    側壁ダブルパターニングのための修正2色グリッド配線法.
    電子情報通信学会技術研究報告 (VLD2013-150), Vol.113, No.454, pp.93-98, 2014年3月4日.

Poster Presentation

  • 中谷勇太,高橋篤司.
    集合対間配線における総配線長および配線長差の削減手法.
    デザインガイア2014,大分県別府市,2014年11月26日. (poster)
  • 田中雄一郎,高橋篤司.
    領域分割を用いたCHORD-LAST法に基づくナンバーリンク解法.
    DAシンポジウム2014,岐阜県下呂市,2014年8月28日. (poster)
  • Ahmed Awad, Atsushi Takahashi, Satoshi Tanaka, Chikaaki Kodama.
    Mask Optimization With Minimal Number of Convolutions Using Intensity Difference Map.
    DAシンポジウム2014,岐阜県下呂市,2014年8月28日. (poster)
  • Tomomi Matsui, Yukihide Kohira, Chikaaki Kodama, Atsushi Takahashi.
    Positive Semidefinite Relaxation and Approximation Algorithm for Triple Patterning Lithography.
    Design Automation Conference 2014 (DAC 2014), Work-in-Progress Poster Session 300.20, San Francisco, June, 2014. (poster)
  • Tomomi Matsui, Yukihide Kohira, Chikaaki Kodama, Atsushi Takahashi.
    Density Balanced Layout Decomposition for Multiple Patterning Lithography by Positive Smidefinite Relaxation with Liner Objective Function.
    Design Automation Conference 2014 (DAC 2014), Work-in-Progress Poster Session 300.114, San Francisco, June, 2014. (poster)
  • Yukihide Kohira, Tomomi Matsui, Yoko Yokoyama, Chikaaki Kodama, Atsushi Takahashi, Shigeki Nojima, Satoshi Tanaka.
    LELECUT Triple Patterning Lithography Layout Decomposition using Positive Semidefinite Relaxation.
    Design Automation Conference 2014 (DAC 2014), Work-in-Progress Poster Session 300.135, San Francisco, June, 2014. (poster)
  • Takeshi Ihara, Atsushi Takahashi, Chikaaki Kodama.
    Enhanced Two-color Grid Routing for Self-Aligned Double Patterning.
    Design Automation Conference 2014 (DAC 2014), Designer/IP Track Poster Session 302.3, San Francisco, June, 2014. (poster)

Contest

  • 田中雄一郎,高橋篤司.
    領域分割を用いたCHORD-LAST法に基づくナンバーリンク解法.
    DAシンポジウム2014アルゴリズムデザインコンテスト, 岐阜県下呂市,2014年8月28-29日.
  • Yuta Nakatani, Yuichiro Tanaka, Hiroshi Nakatsuka and Atsushi Takahashi.
    Blokus Duo Attacker by Parallel Processing.
    5th International Symposium on Highly-Efficient Accelerators and Reconfigurable Technologies: Design Contest (HEART2014 DC), Sendai Miyagi, June 9-11, 2014.

Book

  • 佐藤泰介,高橋篤司,伊東利哉,上野修一.
    情報基礎数学.
    オーム社, 2014.
  • ウェスト&ハリス CMOS VLSI 回路設計 応用編 (分担訳).
    N.H.E. Weste, D.M. Harris 著, 宇佐美公良・池田誠・小林和淑 監訳.
    丸善出版, 2014.

To Top of Page