Top Image
Japanese Atsushi Takahashi
Top Image
home papers profile link
 
  Papers List  
  2017  
  2016  
  2015  
  2014  
  2013  
  2012  
  2011  
  2010  
  2009  
  2008  
  2007  
  2006  
  2005  
  2004  
  2003  
  2002  
  2001  
  2000  
  1999  
  1998  
  1997  
  1989-1996  





 

Paper List 2013 (Atsushi Takahashi)


Last modified: 16 June 2014

Paper

  • Yiqiang Sheng, Atsushi Takahashi.
    A New Variation of Adaptive Simulated Annealing for 2D/3D Packing Optimization.
    IPSJ Trans. on System LSI Design Methodology, Vol.6, pp.94-100, August 5, 2013.
    (IPSJ TSLDM)

International Conference

  • Chikaaki Kodama, Hirotaka Ichikawa, Koichi Nakayama, Toshiya Kotani, Shigeki Nojima, Shoji Mimotogi, Shinji Miyamoto, Atsushi Takahashi.
    Self-Aligned Double and Quadruple Patterning Aware Grid Routing with Hotspots Control.
    Proc. Asia and South Pacific Design Automation Conference 2013 (ASP-DAC 2013), pp.267-272, Yokohama, Japan, January 23, 2013.
    (IEEE Xplore)

Domestic Conference (including Japanese)

  • 大月郷史,高橋篤司.
    FPGA上に実現した可変レイテンシ技術を用いた乗算器の性能検証.
    DAシンポジウム2013論文集, 情報処理学会シンポジウムシリーズ, Vol.2013, No.3, pp.157-162, 2013年8月22日.
  • Yukihide Kohira, Yoko Takekawa, Chikaaki Kodama, Atsushi Takahashi, Shigeki Nojima, Satoshi Tanaka.
    Overlap Area Maximization in Stitch Selection for LELE Double Patterning.
    第26回 回路とシステムワークショップ論文集, pp.466-471, 2013年7月30日.
    ( T2R2 )
  • 篠田享佑,高橋篤司.
    指定長幹配線問題において配線長を調整する領域に関する一考察.
    電子情報通信学会 総合大会 講演論文集 (A-3-6), Vol.A, p.66, 2013年3月19日.
    ( T2R2 )

Technical Report (including Japanese)

  • 安藤健太,高橋篤司.
    エラー検出回復方式を用いた可変レイテンシ回路のための高速な性能見積もり手法.
    情報処理学会研究報告, Vol.2013-SLDM-160, No.16, pp.1-6, 2013年3月13日.
    (電子図書館)
    (電子情報通信学会技術研究報告(CPSY2012), Vol.112, No.481, pp.91-96, 2013年3月13日. )
  • 篠田享佑,高橋篤司.
    単層プリント基板のための各ネットの目標配線長達成性を考慮した配線手法.
    電子情報通信学会技術研究報告 (VLD2012-149), Vol.112, No.451, pp.77-82, 2013年3月5日.

Invited Talk

  • Atsushi Takahashi.
    Dawn of Computer-aided Design - from Graph-theory to Place and Route -.
    Proc. ACM International Symposium on Physical Design (ISPD 2013), p.58, March 25, 2013.
    (ACM Digital Library)

Workshop Presentation

  • Yoko Takekawa, Chikaaki Kodama, Atsushi Takahashi, Yukihide Kohira, Satoshi Tanaka, Keishi Sakanushi, Jiro Higuchi, Shigeki Nojima.
    A Study of Robust Stitch Design for Litho-etch-litho-etch Double Patterning.
    Design for Manufacturability and Yield 2013 (DFM&Y2013), June 3, 2013.
  • Yukihide Kohira, Yoko Takekawa, Chikaaki Kodama, Atsushi Takahashi, Shigeki Nojima, Satoshi Tanaka.
    Minimum Cost Stitch Selection in LELE Double Patterning.
    Design for Manufacturability and Yield 2013 (DFM&Y2013),June 3, 2013.

Contest

  • Ahmed Awad, Atsushi Takahashi.
    Mask Optimization.
    2013 CAD contest at ICCAD, San Jose, November 19, 2013.
  • Yuichiro Tanaka, Yuta Nakatani, and Atsushi Takahashi.
    Blokus Duo Attacker by Parallel Processing.
    The International Conference on Field-Programmable Technology (ICFPT): FPT Design Competition: Blokus Duo, Kyoto Research Park, December 9-11, 2013.

To Top of Page